日曜技術者のメモ

趣味でやった事のメモ書きです。

2013-07-03から1日間の記事一覧

SystemVerilogのパラメータ付きクラスを試してみた(解決編)

SystemVerilogのパラメータ付きクラスを試してみたを更新してから Twitterで「Baseクラス作ったらpushできる」と教えて頂き解決しました。修正したコード module top(); class c_hoge_base; //Baseクラス追加 virtual function void print(); endfunction en…