日曜技術者のメモ

趣味でやった事のメモ書きです。

2012-01-01から1年間の記事一覧

FMCメザニンボードを設計してみた-失敗-

EAGLEで配線図はできたのでレイアウトをやります。EAGLEのフリー版では100x80mm 2層までです。 この範囲でまずはやってみます。右の白い四角が100x80の箱で左側がレイアウト予定の部品です。 この時点で無理な気がします・・・Routing Grid 10milで実行して…

SystemCで廃止/廃止予定の機能を調べてみた

SystemC Advent Calendar2012 の 23日目(になるかも?)の記事です。 よろしくおねがいします。SystemCのバージョンアップに伴って削除された機能や推奨されない機能 がどのくらい過去にあったか調べてました。古いコードを見た際に参考にするメモです。 (リ…

FMCメザニンボードを設計してみた-その1-

FMCコネクタが届いたので使えるようにボードを設計してみる。 使いやすいように2.57mmピンヘッダに変換するボードを作る予定です。 購入したコネクタは1.27mmピッチなのでなんとかなりそうです。 今のところ以下の選択肢 ユニバーサル基板で作る ハーフピッ…

FMCコネクタを買ってみた-注文から受け取りまで-

ZedboardにPmod以外の選択肢を追加するためFMCコネクタを注文してみました。FMCコネクタはsamtec社が製造しているコネクタです。 (高速コネクタでは有名な会社みたいです。)HPはこちら http://www.samtec.com/search/vita57fmc.aspxZedboardについているコネ…

Xilinx DDS Compilerを使ってみた-2-

前回の続きDDSに入力する加算量と周波数は以下数式の関係がある。変形するとこんなかんじ この式から実際にAMラジオで使える周波数の搬送波を作成してみる。 中波放送は531kHzから1602kHzまで9kHz刻みで放送されている。 Excelを使って中波帯の加算量を計算…

Xilinx DDS Compilerを使ってみた-1-

AM送信波の元となる搬送波をDDS Compilerで作成してみる。いつも通りPlanAheadを立ちあげてIPを追加します。 Project Managerの所にある「IP Catalog」を選択IP Catalogが表示されたら、Searchに「DDS」を入力すると DDS Compilerが出てくる。 今回はAXIに接…

オシロスコープSDS7102(V)を買ってみた-2-

今回は手持ちの信号をいろいろ見てみます。まずはこれ学生の時に作成した5VTTLお試しセット これには4.194304MHzのクリスタルオシレータを載せていて プログラマブルdivider(分周器)がに接続しています。 ではさっそく観測これで4分周しています。 オーバー…

オシロスコープSDS7102(V)を買ってみた-1-

AM変調した信号を波形で確かめたいのでオシロスコープを買いました。 OWON(オウオン)社のDS7102(V)という製品です。 amazonなら5万円切って売っていますがオウオンジャパン正規販売品だと 保証やサポート、日本語マニュアルもあるようなので別の所で買いま…

FPGAでAM送信機を作ってみた-2-

今回はZedboardへの実装を考えます。必要なのは ・音源の取り込み ・乗算器 ・DDS ・DAC ・BPFになります。 ZedboardにはADAU1761というオーディオ・コーデックICが 実装されているので音源の取り込みにはこれを使います。 乗算器はFPGA内の乗算器を使うか自…

FPGAでAM送信機を作ってみた-1-

大学の卒論で作成したAM送信機のVHDLコードが見つかった。 卒論発表会の時AMラジオを横において実演したのを覚えている。今読むといろいろひどいコードなので作りなおす事にした。 (非同期対策をしてなかったりROMテーブルをswich case文で長々書いてたり・…

Zedboard ADCでアナログ値を読んでみた -XADCのIPについて-

ADCの動作確認を前回やったので資料とか気になった事のメモADC系の資料で確認したのが以下資料 7シリーズfpgaのxadc12 ビット1mspsデュアルアナログ-デジタルコンバーター ユーザーガイド LogiCORE IP AXI XADC (v1.00.a) Product Guide ADCはZynqのみではな…

Zedboard ADCでアナログ値を読んでみた -2-

前回の続き前回はTOPモジュールを作成した。 次にこれをPlanAheadに取り込む。Add Sources->「Add or Create Design Sources」を選択し 「Add Files」で先ほど作成したsystem.vを選択する。保存場所自分で変えていなければ以下場所にあるはず↓ \<Project location>\<Project name>\<Project name>.srcs\sour</project></project></project>…

Zedboard ADCでアナログ値を読んでみた -1-

SPIはちょっと休憩して気晴らしにZynqが内蔵してる XADCの値を読んでUART経由で表示してみた。今回はSDKでプログラムを実行するとADCにて抵抗にかかる電圧を測定し プログラムを終了するという流れを作成しました。 プログラム自体はサンプルをほとんどその…

Zedboard SPIで通信してみた -3- (ほんのちょっと動作)

SPIでセンサに1byteだけデータを送信する事ができたのでメモ先週ツイートした通りSPIのSS0信号にバグがある様です。SPIが動かない犯人は多分おまえだぁぁぁ!!「Zynq-7000、SPI - MIO のマスター モードで SS0 信号がアサートされると SPI コントローラーが…

Zedboard SPIで通信してみた -2- (未完成)

続きから6.ソフトウェア開発 前回と同じ様にPlanAheadからSDKを呼び出し「Hello World」のCプロジェクトを作成する。 作成後「system.mss」のタブにps7_spi_1という項目がある。 Xilinxが用意したドライバがあり、そのドキュメントとサンプルがそこにある。 …

Zedboard SPIで通信してみた -1- (未完成)

ここしばらくZedboardのPSについているSPIを使って気圧センサと 通信させようとしていますが、うまく通信ができません。とりあえず、現状をメモ。使用する気圧センサは秋月で売っている「MPL115A1」という気圧センサです。 このセンサはSPIとI2Cの2タイプが…

Zynq-7000 EPP Concepts, Tools, and Techniquesをやってみた -2-

前の続き PSの設定完了後、TOPのHDLを出力します。 22.さっき作成したsystem.xmpを右クリックしてCreate Top HDLを選択 System_stub.vが追加される。 23.File -> Export -> Export Hardware for SDKを選択 24. Launch SDKにチェックを入れてOK これでハード…

Zynq-7000 EPP Concepts, Tools, and Techniquesをやってみた -1-

付属SDを使ったDemoがひと通り確認できたのでZynq-7000 EPP Concepts, Tools, and Techniques Guide という資料のチュートリアルをやってみようと思う。ちなみにこの資料私の手元に2種類あって一つはZedBoard向けもう一つはZC702向け?の様です。今回はもち…

ZedBoardで遊んでみた - Start Guide 5 -

今日はデモ最終回 Demo 6 USB-OTG ホストPCの設定。 ・ジャンバピンのJP2とJP3をショートさせる。 USB-OTGの上下についています。 JP2はUSBへ5Vの供給切り替え JP3はUSB電源に120uFのコンデンサ接続の切り替えをしています。 ショートさせるとホストモード、…

ZedBoardで遊んでみた - Start Guide 4 -

今日はネットワーク系のデモを見てみる。 Demo 5 Ethernet ホストPCの設定。 ・ZedBoardとPCをLANケーブルで接続する。 こういう接続はクロスケーブルが必要だと思ったのですがストレートケーブルで大丈夫でした。 Zedboard側のEthernetチップにはMarvell 88…

トラ技10月号付録(LPC1114FN28/102)を動かしてみた

昨日トラ技10月号を買いました。 目的はもちろんDIPパッケージのARM CortexM0帰ってからさっそくLEDチカチカに挑戦。 4時間かかった完成品(?)は↓ 手持ちで余っている部品をかき集めたので トラ技に載っている回路図と少し違います。 プッシュスイッチがなか…

はじめてのPICマイコン - LCD表示 2 -

PIC

前回のコードはデータ書き込み毎に一定時間 待つ様にしていたけどBusyフラグがあるので 処理完了をポーリングする方式に変更。前はWriteのみだったのでR/W端子をGNDに落としていた。 これをRA2に接続。プログラムは以下の様に変更 9/9追記::未使用ポートの処…

はじめてのPICマイコン - LCD表示 1 -

PIC

マイコンで電子工作といえばPICマイコンが有名。 昔勉強しようと買ったPICマイコンがあるのを思い出したから 気晴らしに遊んでみた。LCDに文字を表示するだけに2日もかかってしまった。 回路図は以下 プログラムは今更アセンブリは・・・ と思いC言語にして…

ZedBoardで遊んでみた - Start Guide 4 -

Demoの続き Demo 3 アナログRGB出力 RGBケーブルをモニタと接続して電源ON 640x480で画面表示される。 この描画はPL側でやっているらしく DONE(青色LED)が点灯してから表示される。 また、Linuxをpoweroffしても描画し続ける。 Demo4 HDMI出力 アナログRGB…

ZedBoardで遊んでみた - Start Guide 3 -

無事Linuxが起動したのでDemoプログラムを操作 Demo 1 LEDを点灯させる Tera Termから「write_led 」を入力で点灯する。 zynq> write_led 0xa5 みづらいけど10100101になっている。 SWの値を取得する。 Tera Termからread_swで値が取得できる。 青色ダイオー…

ZedBoardで遊んでみた - Start Guide 2 -

前回の続き USB2UARTを接続しTera Termを起動して電源ON しばらく待つとターミナルにLinuxの起動画面が流れていく MACアドレスは伏字にしています。 U-Boot 2011.03-dirty (Jul 11 2012 - 16:07:00) DRAM: 512 MiB MMC: SDHCI: 0 Using default environment …

ZedBoardで遊んでみた - Start Guide 1 -

まずはStart Guideを試してみる。 やり方はZedboardから Documentationにある「Getting Started Guide」の通りにやってみる。 相変わらず英語が分からんので適当に試した順でメモ 電源とUSB(UART)をPCと接続 BOOT用(JP7~JP11)をSDに設定 JP9とJP10が1で残り…

ZedBoard入手

やっとZedboardが手に入りました。 開封の儀 これから楽しくなるね!!

Vivadoインストール中

しばらくネタがなかったのでブログ放置していました。Xilinxのサイトにいくといつの間にかVivadoが公開されていました。 なので、とりあえずダウンロード->インストール中。気になっているのが、HLSがどの位使えるかという事。VivadoのHLSはISEのDSP Edition…

SYNOPSYS USER MEETING2012 午前の部

今SYNOPSYS USER MEETING2012に休暇で参加中 場所は新宿 京王プラザホテルです。今お昼なのでランチセッションを聞きながら書いています。午前中はProcesserDesignerの事例を2件聞きました。 PDはCoWareで一度トレーニングを受けた事があります。いいツール…